Download Free Study Of Tribological Properties And Modeling Of Removal Rate Of Low K And Copper In Chemical Mechanical Planarization Process Book in PDF and EPUB Free Download. You can read online Study Of Tribological Properties And Modeling Of Removal Rate Of Low K And Copper In Chemical Mechanical Planarization Process and write the review.

Copper polishing performance depends significantly on the properties of pads, slurries, conditioning, pressure, sliding velocity, slurry flow rate and temperature. A slight variance in each of these parameters will cause significant change in polising results. Various investigations are performed during this study to understand the effect of consumables and other main operating parameters on copper polishing in terms of removal rate, lubrication mechanism, and temperature transients. A modified two-step Langmuir-Hinshelwood removal rate model and a flash heating thermal model are developed to describe the removal mechanism. Results indicate that grain size plays an important role during copper polishing. Smaller grain size may enhance the chemical rate by providing a higher density of favorable reaction sites. However, denser grain boundaries due to smaller grain size may reduce the mechanical rate by increasing the probability of disruption of three body sliding contact. It is found that removal rate increases as slurry flow increases from 60 to 80 cc/min because higher slurry flow rate can provide more reactants to the system. Then removal rate decreases as slurry flow rate is further increased to 140 cc/min, which is due to synergic effects of the wafer temperature, slurry flow and slurry residence time under the wafer. The observed removal rate drop is thought to be due to the change of the wafer temperature at high sliding velocity. Experimental results from eight slurry formulations with various abrasize size and content show that in the case of 13-nm abrasives, the dominant tribological mechanism is that of partial lubrication, while in the case of 35-nm abrasives, the dominant tribological mechanism is that of boundary lubrication. COF values of the slurry with surfactant are generally lower that those of the slurry without surfactant. Logarithmic spiral positive pad, whose spiral groove is at a slight angle to the pad rotation direction, shows the highest average COF. The radial pad results in the smallest average COF. For all types of the grooved pads investigated, CMP is mechanically limited at low pV, and chemically limited at high pV. Non-Prestonian behavior is thought to be due to variations of COF and substrate temperatures. Dual Emission UV Light Enhanced Fluorescence results indicate that during polishing the wafer is tilted towards the center of the pad and that the extent of wafer tilt is a strong function of diamond disc pressure. Increasing the oscillation frequency of the diamond disc or the rotation rate decreases slurry film thickness. Slurry film thickness increases with the slurry flow rate. Also slurry film thickness strongly depends on diamond disc design.
Advances in Chemical Mechanical Planarization (CMP), Second Edition provides the latest information on a mainstream process that is critical for high-volume, high-yield semiconductor manufacturing, and even more so as device dimensions continue to shrink. The second edition includes the recent advances of CMP and its emerging materials, methods, and applications, including coverage of post-CMP cleaning challenges and tribology of CMP. This important book offers a systematic review of fundamentals and advances in the area. Part one covers CMP of dielectric and metal films, with chapters focusing on the use of current and emerging techniques and processes and on CMP of various materials, including ultra low-k materials and high-mobility channel materials, and ending with a chapter reviewing the environmental impacts of CMP processes. New content addressed includes CMP challenges with tungsten, cobalt, and ruthenium as interconnect and barrier films, consumables for ultralow topography and CMP for memory devices. Part two addresses consumables and process control for improved CMP and includes chapters on CMP pads, diamond disc pad conditioning, the use of FTIR spectroscopy for characterization of surface processes and approaches for defection characterization, mitigation, and reduction. Advances in Chemical Mechanical Planarization (CMP), Second Edition is an invaluable resource and key reference for materials scientists and engineers in academia and R&D. Reviews the most relevant techniques and processes for CMP of dielectric and metal films Includes chapters devoted to CMP for current and emerging materials Addresses consumables and process control for improved CMP, including post-CMP
The novel consumables studied were abrasive-free copper CMP slurries and high-pressure micro jet technology as an alternative to diamond pad conditioning. Abrasive-free slurries were found to be effective in copper removal and were shown to demonstrate similar removal rate and coefficient of friction (COF) trends as conventional abrasive slurry CMP, while possibly decreasing wafer defects. Fundamental information from the friction spectrum indicated that the periodicity of the cyclic passivation layer formation and removal in copper CMP may be on the order of 10 milliseconds. HPMJ technology was found to be a possible alternative to diamond conditioning with some decrease in removal rate. A controlled atmosphere polishing (CAP) system was used and demonstrated that gaseous additives can feasibly be introduced real-time during a polish. Addition of complexing agents were found to increase removal rates, however it was found that direct etching of copper oxide on the copper surface was not the primary mechanism responsible for removal rate increases during CMP with low oxidant concentrations. Alternatively, it was found that direct etching of the copper oxide is significant in systems containing much higher oxidant concentrations, 1 wt% hydrogen peroxide for example. It was for this reason that a third removal step, chemical dissolution, was added to the two-step removal rate model. The remainder of the work in this dissertation was concerned with characterizing and modeling the copper oxidation and copper oxide dissolution steps of the three-step model separately and applying the appropriate expressions into the CMP removal rate model. The copper oxidation process was found to demonstrate oxide growth, or passivation behavior, at pH of 5 and higher. The oxide growth process was governed by oxidized copper migration through the oxide film. The copper oxide dissolution process was controlled by dissolution of the complexing agent through a dissolution byproduct film. These steps were characterizedand applied to the three-step removal rate and predicted removal rate data quite well with one fitting parameter that varied within one order of magnitude. Two real-time experimental measurements, COF and leading pad temperature, can be input into the model to predict removal rates during a polish.
Illustrating their intersecting role in manufacturing and technological development, this book examines tribological principles and their applications in CMP, including integrated circuits, basic concepts in surfaces of contacts, and common defects as well as friction, lubrication fundamentals, and the basics of wear. The book concludes its focus with mechanical aspects of CMP, pad materials, elastic modulus, and cell buckling. As the first source to integrate CMP and tribology, Tribology in Chemical-Mechanical Planarization provides applied scientists and engineers in the fields of semiconductors and microelectronics with clear foresight to the future of this technology.
ABSTRACT: The effects of different process parameters on tribology and surface defects were studied till date, but there has been a very minimal study to understand the effect of slurry temperature during Copper Chemical Mechanical Polishing (CMP). The surface defects such as dishing, erosion and metal loss amount for more than 50% of the defects that hamper the device yield and mainly the electrical properties during the manufacturing process. In this research, the effect of slurry temperature on tribology, surface defects and electrical properties during copper CMP employing different pad materials and slurries has been explored. Experiments were conducted at different slurry temperatures maintaining all the other process parameters constant. Post polished copper samples were analyzed for their dishing and metal loss characteristics. From the results, it was seen that the coefficient of friction and removal rate increased with increase in slurry temperature during polishing with both types of polishing pads. This increase in removal rate is attributed to a combined effect of change in pad mechanical properties and chemical reaction kinetics. The experimental data indicated that the increase in slurry temperature results in an increase in amounts of metal dishing and copper metal loss for one type of slurry and defects decrease with increase in slurry temperature for other type of slurry. This phenomenon indicates the effect of temperature on chemical reaction kinetics and its influence on defect generation. This can be attributed due to the change in pad asperities due to change in pad mechanical properties and chemical kinetics with change in slurry temperature. The slurry temperature has an effect not only on the surface defects and tribology but also on the change in pad mechanical properties. The copper thin films peeled off at higher polishing temperatures, leading to adhesion failure. With increase in temperature the copper crystallinity, hardness and modulus increased. Further with increase in the defects the electrical properties of the devices also degraded drastically and even failed to operate at higher levels of dishing and metal loss. This research is aimed at understanding the physics governing the defect generation during CMP.
Chemical mechanical planarization (CMP) is becoming a promising mainstream semiconductor processing method because of its demonstrated capability to achieve better local and global planarization for various materials. However, the CMP process is influenced by a set of factors, which lead to a poor understanding of the material removal mechanisms (MRMs) and inhibits the migratability of the lab-scale experiments to industrial practice. This work focuses on the synergistic effects between chemical dissolution and mechanical abrasion to understand the MRMs during CMP. Initial in-situ wear test in chemically active slurry showed an increased material removal rate (MRR) relative to dry wear tests. To understand the synergistic effects, two plausible MRMs; (i) chemical dissolution enhanced mechanical abrasion and (ii) mechanical abrasion accelerated chemical dissolution, were investigated. In addition, a phenomenological MRR model based on scratch-intersections was formulated to understand the role of consumables and the process parameters. For mechanism I, a combined experimental and modeling technique was devised to understand the mechanical properties of the soft layer formed on the surface due to chemical exposure in CMP. The developed approaches utilized nano-scratch tests, nano-dynamic mechanical analysis (DMA) tests, the limit analysis solution of surface plowing under a spherical traveling indenter, and finite element simulation to deconvolute the soft layer thickness, hardness and elastic modulus. For mechanism II, it is found that the residual stress caused by the mechanical wear enhances the chemical etching rate, as manifested by an increase in wear depth. It is also found that the roughness with wavelength above a critical value grows while roughness of lower wavelength decays during etching, in which an established fact for stress-enhanced chemical dissolution is used. The developed understanding would enable understanding the root causes of defect generation mechanism and render remedies for yield improvements. The proposed models, through their mechanistic description, will facilitate an exploration of the design space and identification of realistic CMP process domains, including: (i) particle shape, size and concentration; (ii) adapting slurry chemistry for required rates of chemical dissolution and mechanical abrasion; and (iii) selecting pads with the proper surface morphology and stiffness.
Chemical mechanical planarization (CMP) is used in integrated circuit manufacturing to remove excess material and provide a globally planarized wafer surface. The CMP process requires slurry containing nanometer-sized abrasive particles and chemical additives which produce a mechanical and chemical synergistic effect that is responsible for the material removal rate (MRR). Because copper has become the interconnect material of choice, the focus of our research is on copper CMP. The chemical additives in the slurries control the state of the copper (CuO, Cu2, etc.) on the surface of the wafer and in the slurry and also affect the dispersion characteristics of the abrasives. This research investigated the influence of common additives (glycine, H2O2, etc.), solution pH, and presence of copper on the colloidal behavior of alumina suspensions. The colloidal behavior was characterized through measurement of zeta potential and agglomerate size distributions. The effects of common slurry additives and solution pH on the nanohardness and etch rate of the copper surface were also studied. It was found that with the addition of copper into the slurry, an increase or decrease in agglomeration of the alumina was observed depending on the state of the copper in the solution. With the addition of chemical additives and changes in the pH of the solution, the nanohardness of the copper film was observed to range from 0.05 - 20 GPa, due to the formation of different films (CuO, Cu2O, etc.) and/or changes in the compactness of the surface film from complexing reactions or dissolution. Additionally, experimental results were incorporated into a model of CMP to predict MRR and predictions were compared to experimental copper CMP data. The CMP model accounts for the chemical activity of the slurries through the abrasive size and distribution, hardness and chemical etch rate parameters. The model MRR predictions only agreed with experiment for slurries with pH>8 and small etch rates. However, for acidic slurries and slurries with large etch rates, model predictions did not agree with experiment, most likely due to using nanohardness measured under quiescent conditions.
This dissertation presents a series of studies related to the characterization and optimization of consumables during Chemical Mechanical Planarization (CMP). These studies are also evaluated with the purpose of reducing the cost of ownership as well as minimizing the potential environmental impacts. It is well known that pad-wafer contact and pad surface micro-structure have significant impacts on polishing performance. The first study in this dissertation investigates the effect of pad surface contact and topography on polishing performance during copper CMP. Two different types of diamond discs (3M A2810 disc and MMC TRD disc) are used to condition the polishing pad. Pad surface contact area and topography are analyzed using laser confocal microscopy and scanning electron microscopy (SEM) to illustrate how variations in pad surface micro-texture affect the copper removal rate and the coefficient of friction (COF). Polishing results show that the 3M A2810 disc generates significantly higher COF (16%) and removal rate (39%) than the MMC TRD disc. Pad surface analysis results show that the 3M A2810 disc and MMC TRD disc generate similar pad surface height probability density function and pad surface abruptness. On the other hand, the MMC TRD disc generates large flat near contact areas that correspond to fractured and collapsed pore walls while the 3M A2810 disc generates solid contact area and clear pore structures. The fractured and collapsed pore walls generated by the MMC TRD disc partly cover the adjacent pores, making the pad surface more lubricated during wafer polishing and resulting in a significantly lower COF and removal rate. In the next study, the individual "large" pad surface contact areas are differentiated from the "small" contact areas and their role in copper CMP is investigated. Surface topography and the structure of a typical individual large contact area are examined via laser confocal microscopy and SEM. In addition, the Young's Modulus of the pad surface material is simulated. A case study is presented to illustrate the role of the individual large contact area of IC1000 K-groove pad in copper CMP. SEM analysis shows that the individual large pad surface contact areas are induced by fractured pore walls and loosely attached pad debris. Simulation results indicate that individual large contact areas correspond to very low values of the Young's modulus (about 50 MPa). Such low values indicate that the pad material is soft and the summit underlying the individual large contact is not fully supported. As a result, individual large contact area implies low contact pressure and may contribute little to removal rate. Case study results confirm that the individual large contact area has minimal contribution to removal rate and indicate that the removal rate is mainly caused by small individual contact areas. In our case, small contact areas correspond to those smaller than 9 square microns. We believe that this methodology can be also applied for other kinds of pad, although the threshold values that may define "small" and "large" individual contact areas for different pads and processes need to be further investigated. In the third study, the effect of pad surface micro-texture in interlayer dielectric CMP is also investigated. Blanket 200-mm oxide wafers are polished and the polishing pad is conditioned under two different conditioning forces (26.7 and 44.5 N). Results show that when conditioning force is increased from 26.7 to 44.5 N, oxide removal rate increases by 65% while COF increases by only 7%. Pad surface contact area and topography are measured and analyzed to illustrate their effects on the oxide removal rate. While the two conditioning forces generate similar pad surface abruptness, pad surface contact area is significantly lower (by 71%) at the conditioning force of 44.5 N. Such dramatic decrease in pad surface contact area leads to a significant increase in local contact pressure and therefore results in a significant increase in oxide removal rate. The oxide removal rate and local contact pressure exhibits a Prestonian relationship. Besides the above studies on the effect of the pad surface micro-texture during blanket wafer polishing, the fourth study investigates how pad micro-texture affects dishing and erosion during shallow trench isolation (STI) patterned wafer polishing. Two different types of diamond discs (3M A2810 disc and MMC TRD disc) are used to condition the pad during wafer polishing. Dishing and erosion analysis for the patterned wafer polishing is performed using a surface profiler. To illustrate the effect of pad surface micro-texture on dishing and erosion, pad surface abruptness and mean pad summit curvature are analyzed using laser confocal microscopy. Polishing results show that the two discs generate similar blanket wafer removal rates, while the MMC TRD disc generate significantly higher dishing and erosion than the 3M A2810 disc during patterned wafer polishing. Results of pad surface micro-texture analysis show that the MMC TRD disc generates sharper asperities with higher mean pad summit curvature than the 3M A2810 disc, resulting in higher dishing and erosion. Another contribution of this dissertation is the development of a slurry film thickness quantification technique using ultraviolet-enhanced fluorescence. The technique is developed to measure slurry film thickness at any location of interest. In the next study of this dissertation, this new technique is applied to determine how two different slurry application/injection schemes (standard pad center area application method and novel slurry injection system) along with various polishing conditions such as sliding velocity, ring pressure and slurry flow rate affect slurry availability in the bow wave region of the polisher. For the standard pad center area application method, slurry is directly applied onto the pad center area and a large amount of fresh slurry flow directly off the pad surface without flowing to the pad-retaining ring interface due to the centrifugal forces. For the novel slurry injection system, slurry is introduced through an injector that is placed adjacent (